L’EUV arrive et requiert de nouvelles méthodes d’amélioration de la résolution

Le 30/09/2019 à 16:19 par La rédaction
Un procédé rapide et précis d’amélioration de la résolution est un élément clé pour permettre l’utilisation en volume des scanners de lithographie en extrême UV (EUV). Les outils de modélisation d’effets d’EUV spécifiques, d’optimisation de la source, d’amélioration de la profondeur de champ et de correction des effets de proximité optique (OPC) sont désormais prêts.
La transition de la lithographie optique à l’EUV dans la production de masse est en marche, et bien que certains problèmes soient encore en cours de résolution, les procédés d’amélioration de la résolution (RET) pour l’EUV sont prêts. Le logiciel de lithographie numérique est en cours de développement depuis de nombreuses années et est déjà déployé dans de nombreuses usines de fabrication de semi-conducteurs de pointe. L’EUV présente quelques difficultés spécifiques que les outils RET/OPC actuels doivent résoudre. Par exemple, le calcul précis de la diffusion parasite de la lumière (flare), dépendant de la densité, ainsi que l’élimination de l’impact sur l’image des effets de bordure noire. De plus, l’intégralité du procédé, notamment le scanner, les matériaux, la résine et l’intégration des processus, continue d’évoluer. Cela présente de nouveaux défis et de nouvelles possibilités d’améliorer les techniques d’amélioration de la résolution (RET). 
 
Par exemple, la question se pose de savoir si les motifs diffractant non résolus (SRAF : Sub Resolution Assis Features) sont nécessaires. Améliorent-elles la marge de procédé avec l’EUV ? Si oui, quelle est l’approche idéale ? L’optimisation de la RET EUV pour les conceptions de nouvelle génération implique une co-optimisation et de nombreux compromis délicats. En collaboration avec GLOBALFOUNDRIES et IMEC (Guo et al., 2018 SPIE Advanced Lithography), nous avons constaté qu’avec de puissants outils d’optimisation, tels que les techniques de lithographie inverse et un examen pondéré des exigences, les SRAFs peuvent offrir un cadre propice à l’obtention des latitudes de procédé requises (figure 1). Les SRAFs peuvent contribuer à améliorer la qualité de l’image et de la fenêtre de traitement, en atténuant le décalage de l’image en variation de focus (figure 2).  
 
Un autre défi consiste à gérer l’impact des aberrations de la lithographie EUV. Nous pouvons simuler et corriger correctement les aberrations du scanner EUV pendant la correction optique de proximité (OPC) à travers la fente, afin d’assurer un excellent contrôle du positionnement des bords. Le problème est que le niveau de variabilité des aberrations d’un outil à l’autre est actuellement très important. Il entraîne des erreurs de positionnement des bords non corrigibles si l’OPC est effectuée pour un scanner particulier, alors que l’exposition se produit sur un autre outil. Aussi, les niveaux d’aberration actuels et prévus à court terme sur les scanners EUV impliquent des défis très importants en matière de contrôle des bords des motifs. 
 
Il existe un grand nombre de combinaisons d’aberrations référencées dans l’OPC et d’aberrations référencées dans la vérification entre deux niveaux de masques avec des tolérances d’alignement de masques critiques pour un parc de scanners EUV en fabrication. Cependant, certaines combinaisons généreront de meilleurs résultats lithographiques que d’autres. La lithographie numérique peut être un outil très puissant pour évaluer ces combinaisons en vue d’une utilisation industrielle. Mentor a clairement démontré l’avantage de l’utilisation des modèles d’OPC dédiés, intégrant une correction d’aberration spécifique à l’outil. Sans ces modèles dédiés, des erreurs non corrigibles de positionnement relatif des bords de motifs peuvent atteindre jusqu’à 5 nm (figure 3). 
 

 

Création d’un flux d’OPC rapide et précis pour l’EUV

 
 
Les traitements des tape out en production qui appliquent le reciblage, l’insertion des SRAF et l’OPC exploitent généralement la hiérarchie du design pour minimiser le temps de traitement. Cette stratégie a permis aux fonderies de pointe de respecter les délais de mise sur le marché grâce à la plate-forme Calibre. Il a été démontré que l’utilisation appropriée de la hiérarchie du design permet de réduire de 2 à 10 fois la durée de traitement des données, selon le type de design. Idéalement, un tape out EUV devrait utiliser autant que possible la hiérarchie du design pour maintenir le temps d’exécution optimum. Toutefois, les effets de flare à longue portée et les effets d’ombre des masques compliquent l’utilisation de la hiérarchie dans l’OPC. 
 
 
Mentor s’est concentré sur la création d’un flux qui préserve autant que possible la hiérarchie du design, sans compromettre la précision ou la fenêtre de procédé. Notre solution SRAF, par exemple, peut utiliser en toute sécurité des modèles d’EUV « locaux ». En d’autres termes, pour de faibles variations de flare, il n’est pas nécessaire que le positionnement des SRAF tienne compte du facteur de flare à travers la puce ; les variations peuvent être approximées. Ceci permet l’utilisation de la hiérarchie du design pour le positionnement de la SRAF. Le flux d’OPC pour l’EUV est conçu pour maintenir la hiérarchie sans perte de précision lorsque les modèles d’EUV globaux complets sont utilisés. Un traitement hiérarchique de la base de données avec Calibre au lieu d’un traitement à plat accélère le temps d’exécution de 2,3 fois en moyenne, sans perte de précision. 
 

 

Feuille de route de l’EUV

 
 
Il semble que l’industrie des semi-conducteurs va suivre une stratégie d’« EUV jusqu’au bout de la feuille de route ». À partir du nœud technologique de 5 nm, l’EUV, conjointement avec le multi-patterning, trouvera probablement sa voie dans la production de masse. Cela continuera au niveau du nœud de 3 nm. Au-delà de 3 nm, il peut y avoir une aide du côté matériel du scanner avec une augmentation prévue de l’ouverture numérique NA >= 0,5 (par rapport à la valeur actuelle de 0,33). Cela permettra d’augmenter la résolution, mais aura un coût. L’industrie adoptera probablement un système optique avec grossissement anamorphique : 4x dans la direction x et 8x dans la direction y. Pour ce faire, les layouts des masques devront être divisés en deux le long de l’axe des abscisses et chaque moitié devra être « étirée » le long de l’axe des ordonnées et placée sur des réticules différents. Bien qu’il n’existe actuellement pas de tels outils d’exposition en plein champ, les solutions de modélisation et de synthèse de masques de Calibre prennent déjà en charge l’optique anamorphique, de la modélisation à l’OPC, en passant par la préparation des données de masque et la correction du procédé de fabrication des masques.
 
 
La lithographie EUV est quasiment prête à prendre en charge la production de masse au niveau du nœud technologique de 7 nm et au-delà. Même si la lithographie EUV a présenté de nombreux nouveaux défis pour l’OPC et la RET en termes de précision et de durée d’exécution, les outils sont désormais parfaitement prêts. Des solutions de production pour la modélisation et la correction des effets de flare, d’illumination désaxée et d’aberration existent aujourd’hui. Elles sont intégrées dans une plate-forme hiérarchique de pointe et rapide, Calibre.
 
 
 
 
Auteur : Gandharv Bhatara, responsable marketing des produits OPC/RET Calibre.
 
      
 
 
Figure 1 : Utilisation de la lithographie inverse pour l’optimisation de la SRAF et de l’OPC EUV
 

 
 
Figure 2 : Les SRAF optimisées peuvent aider à améliorer l’ILS
 
 
 
 
Figure 3 : Erreurs de positionnement relatif des bords non corrigibles jusqu’à 5 nm.
 
 

 

 

 

 

Copy link
Powered by Social Snap